11/03/2010

Share - Bahasa Assembly {Video Tutorial + ebook + software}

Buat mahasiswa jurusan komputer (sistem Komputer, Sistem Informasi atau Teknik Informatika) saya kali ini share Paket informasi lengkap [ Video- Ebook- Software] untuk Materi Assembly Language atau lebih di kenal dengan bahasa Assembly. Biasa di gunakan untuk ngeflash Mikroprosesor nih kalo di lab saya, :) Ebook ini ada yang ber bahasa indonesia dan Inggris, tersedia juga video tutorialnya.


Download di bawah ini :
Rapid
http://rapidshare.com/files/403752290/assembly_sto_.rar
Lokal
http://www.indowebster.com/assembly_by_STO.html

Video Berbahasa Inggris
http://rapidshare.com/files/404001385/vtcalp-juno.part1.rar
http://rapidshare.com/files/403998246/vtcalp-juno.part2.rar


Daftar Isi dari Video :
Introduction
Contents of this Course (04:51)
The Reason for Assembly Language (04:52)
Opposite of Portable (04:18)
Necessary Fundamentals
Step by Step Execution (04:47)
Hexadecimal/Octal/Binary (04:17)
Boolean Operations (02:40)
Binary Integers (03:23)
The Computer
Memory & the CPU (05:20)
The Flag Register (05:23)
Modes & Interrupts (05:59)
The Assembler
Windows Installation (05:53)
Linux Installation (04:41)
Command Line Options pt. 1 (07:26)
Command Line Options pt. 2 (03:19)
Hello world (05:40)
Assembly Language Basics
Layout of an Instruction (05:21)
Constants (04:41)
Declarations (05:37)
Pseudo Instructions pt. 1 (04:23)
Pseudo Instructions pt. 2 (03:57)
Layout of a Function (05:02)
Assembling & Linking (01:37)
Comparisons & Jumps (05:21)
GCD Four Ways (07:08)
A Random Integer Generator (05:14)
Addressing (06:16)
Output (08:28)
Input (07:27)
Looping (02:22)
The Stack (05:09)
Find a Prime (05:30)
It is All Bits (07:34)
Macro Preprocessor
Single Line Macros (06:07)
Standard Macros (03:15)
Conditional Assembly (04:01)
Parameters & Overloading (03:23)
Macro Looping (04:36)
Source Files (04:10)
Preprocessor Stack (03:29)
Errors & Environment (03:31)
NASM Standard Macros (03:41)
Performing Bit Operations
Shifts & Rotates (06:28)
Boolean Operations (03:44)
Choosing Without Branching (05:30)
Endians (04:24)
Aggregates
Defining Arrays (04:14)
Addressing Array Members (05:29)
Multidimensional Arrays (03:27)
Structs (01:14)
Block Operations pt. 1 (04:37)
Block Operations pt. 2 (03:45)
Floating Point
Floating Point Representation (05:48)
Floating Point Numbers (04:29)
Floating Point Processor (02:25)
Floating Point Instructions pt. 1 (04:25)
Floating Point Instructions pt. 2 (03:56)
Quadratic Equation (06:46)
Debugging
Things a Debugger Does (05:00)
The Linux Debugger (06:11)
Thw Windows Debugger (04:19)
Unexpected Nasms (05:42)
Assembly Potpourri
Assembler Directives (03:22)
The Context Stack (03:34)
Macro Overloading (02:57)
The UNIX Assembler (03:34)
The TASM Assembler (02:15)
The MASM Assembler (03:08)
The IBM Mainframe Assembler (02:16)


Untuk Download Software TASM v3.0 + LINKER
http://rapidshare.com/files/403750959/tasm3.rar

40+ eBook berbahasa Inggris lainnya :
http://www.megaupload.com/?d=V608ZNIH
http://www.megaupload.com/?d=TWH0XX1E
http://www.megaupload.com/?d=XVX6L8FX
http://www.megaupload.com/?d=0PS0JU4E
http://www.megaupload.com/?d=KZ7CZ7C0
http://www.megaupload.com/?d=VOZPO2CD

Semoga bermanfaat selalu untuk para mahasiswa yang sedang mencari referensi .:)
Read More ..

11/02/2010

19.000 Polisi Dikerahkan Amankan Obama



Polri akan mengerahkan sekitar 19.000 personelnya untuk mengamankan kunjungan Presiden Amerika Serikat, Barack Obama, pada 9-10 November mendatang. Mereka akan tergabung dalam operasi pengamanan dengan sandi 'Garda Nusa-2010' bersama Tentara Nasional Indonesia (TNI).
"Untuk mengamankan kunjungan Presiden Obama, Polri beserta jajarannya bersama dengan TNI menggelar operasi yang akan dilaksanakan mulai H-2 sampai dengan H+2," kata Kepala Divisi Humas Polri Inspektur Jenderal Polisi Iskandar Hasan di Mabes Polri, Jakarta, Rabu, 3 November 2010.

Menurut dia, 19.000 personel itu berasal dari beberapa kekuatan. Sebanyak 8.534 personel berasal dari Polda Metro Jaya, yakni: 8.056 personel Polda Metro Jaya, 20 dari Satuan Anti Teror 81 Komando Pasukan Khusus (Kopassus) 20 personel, 38 Paspampres, dan 409 Pengamanan Dalam.

Kekuatan itu masih didukung oleh aparat Polda dari wilayah sekitar, seperti Polda Jawa Barat yang mengerahkan 5.974 personel, Polda Banten 849 personel, dan Polda Jawa Tengah sebanyak 3.500 personel.
Tak hanya itu, untuk mendukung operasi pengamanan Obama, Mabes Polri juga mengerahkan Pasukan Huru-Hara (PHH) Brimob sebanyak 2 detasemen, pasukan penjinak bom 2 unit, polisi satwa 2 unit, dan polisi udara 2 unit.

"Sementara yang bersifat on call terdiri dari dua Satuan Setingkat Kompi (SSK) PHH Brimob dan 2 unit meriam air," kata Iskandar.

Menurut Iskandar, pengamanan polisi adalah di wilayah ring tiga. "Artinya, yang bersentuhan langsung dengan masyarakat.," kata dia. "Ada di beberapa titik tapi belum tahu persis. Pastinya ke Istana, karena tamu negara pasti ke Istana."

"Kok kaya di datangi Raja Minyak Dari Medan aja"

Dikutip dari Yahoo! News
Read More ..

Melanie Stress Lari ke Musik

                    Melanie Subono
Foto: Budi Juwono
Melanie Subono Foto: Budi Juwono  
 
Lantaran sakit yang dideritanya, Melanie Subono tidak bisa memiliki darah dagingnya sendiri. Hal itu juga yang digambarkannya lewat video klip terbaru featuring Buluk Superglad. Melanie bahkan sempat menangis saat menceritakan hal tersebut kepada sang suami.



"Pertama kita berdua (aku dan suami), orang seni. Dan kebetulan kalau ada tur panjang dia itu gitaris gue. Kebetulan gue sama dia temenan selama 12 tahun dia dah ngerti banget sama gue. Kaya kemarin gue syuting sama Buluk, gue cuma main dengan boneka bayi, di situ gue nangis. Gue take satu track tiba-tiba lagunya full gitu. Di situ gue menceritakan di mana gue gak bisa punya anak sama suami gue. Suami gue juga berpikiran bagus kok bini gue larinya gak macam-macam larinya ke lagu," ujarnya ketika ditemui di studio Nadnad, Kemang, Jakarta Selatan.

Mengetahui kondisinya tersebut Melanie mengatakan kalau ia menjadi sensi dan sering marah-marah saat berada di rumah. Ketika adiknya mengatakan bahwa ia mungkin hamil dan ternyata setelah di cek ia tidak bisa hamil, Melanie mengaku sempat hancur.
"Kalau di rumah gue marah-marah mulu sampai di bilang nenek-nenek, sakit mulu. Kalau sekarang kan kondisi gue lumayan bisa untuk beraktifitas kenapa nggak. Badan gue memang lagi puncaknya banget selama 16 tahun, enak banget. Gak ada apa-apa sensi banget. Kaya kemarin adik gue bilang aku hamil, aku pas hari itu datang, aku lumayan hancur sih," pungkasnya.
Read More ..

10/29/2010

Library Yang Terdapat Pada VHDL


Tentang VHDL

VHDL adalah bahasa untuk mendeskripsikan hardware elektronika digital; singkatan dari VHSIC Hardware Description Language. VHDL merupakan standar IEEE/ANSI dan Departemen Pertahanan US. Pertama kali dipublikasikan oleh IEEE pada tahun 1987, dengan label IEEE Std 1076-1987. Bahasa ini telah mengalami modifikasi dan revisi, dengan versi terbaru berlabel IEEE Std 1076-1993.


  • VHDL  singkatan dari VHSIC Hardware Description Language.
  • VHDL dapat digunakan untuk menggambarkan desain elektronika digital pada beberapa tingkat abstraksi, dari      skala  tingkat algoritma hingga tingkat gate.
  • VHDL pertama kali dikembangkan untuk Departemen Pertahanan US.
  • Distandarisasi pertama kali oleh IEEE pada tahun 1987, dengan nama IEEE Std 1076-1987.
  • Distandarisasi ulang pada tahun 1993, dengan nama IEEE Std 1076-1993.
  • Sembilan nilai logika paket, disebut STD_LOGIC_1164, distandarisasi oleh IEEE untuk membantu di dalam interoperability model VHDL. Standar ini disebut IEEE Std 1164-1993.
  • Beberapa kemampuan VHDL antara lain :
  1. Dapat digunakan sebagai exchange medium.
  2. Mendukung hirarki.
  3. Mendukung metodologi top-down dan bottom-up.
  4. Test bench dapat dituliskan menggunakan bahasa ini.
  5. Tipe data baru dapat disebutkan.
  • Desain digital digambarkan dalam VHDL dengan menggunakan external view dengan satu atau beberapa internal view.
  • External view menyatakan interface dari rancangan.
  • Internal view menyatakan fungsi atau struktur dari rancangan. Suatu rancangan mungkin memiliki satu atau lebih internal view.
  • Desain digital dapat juga digambarkan dalam VHDL dengan menggunakan beberapa external view yang berbeda.
  • Tiap external view dan salah satu darinya berkaitan dengan internal view, yang secara bersama-sama merupakan representasi tertentu dari device, disebut dengan entity design.


  • Library desain merupakan implementasi khusus tempat penyimpanan dalam host environment dimana deskripsi yang telah dikompilasi dapat disimpan.
  • Satu atau lebih library desain yang ada harus memiliki nama logika, dimana pemetaannya pada lokasi fisik tertentu dinyatakan secara eksternal dalam host environment, jadi bukan menjadi bagian dari bahasa.
  • Package yang ada: STANDARD dan TEXTIO. Keduanya terletak pada library desain STD.
  • STD_LOGIC_1164 terletak pada library desain dengan nama IEEE. Tipe-tipe dasarnya adalah STD_ULOGIC, STD_LOGIC, STD ULOGIC VECTOR dan STD LOGIC VECTOR.
  • Untuk simulasinya, pasangan entity-architecture top-level atau nama konfigurasi disebutkan.
  • Tiga step simulasi: elaboration, initialization, simulation.
  • Selama fase inisialisasi setiap proses dieksekusi satu kali dan semua sinyal diberi harga awal.
  • Simulasi selanjutnya didasarkan pada waktu dari event selanjutnya.
Entity
  • Blok bangunan paling dasar dalam suatu design.
  • Deskripsi interface antara suatu entity disain dengan environment yang digunakan.
  • Bukan definisi behavioral
  • Penyambungan via port signal
– Tipe data
– Lebar signal
– signal direction (in, out, inout)
Architecture 
  • Implementasi design.
  • Selalu berhubungan dengan entity tertentu.
– Satu entity dapat memiliki beberapa architecture.
– Port entity sebagai sinyal
  • Mengandung concurrent statement
Data Obyek
Tipe Data Obyek.
- STD_LOGIC dan
STD_LOGIC_VECTOR.
- STD_ULOGIC
- SIGNED dan UNSIGNED
- INTEGER
- REAL
- BOOLEAN
- ENUMERATION
Libraries and Packages
  • Libraries are logical units that are mapped to physical directories
  • Packages are repositories for type definitions,
    procedures, and functions

Standart Library Ada 4:
  1. LIBRARY IEEE ; ( Membaca Paket Standart Dari Library IEEE)
  2. USE IEEE.IEEE.STD_LOGIC_1164.ALL;   (Memasukan Semua Bagian Dari IEEE STD_LOGIC Variable )
  3. USE IEEE.STD_LOGIC_ARITH_ALL; ( Memasukan Semua Operasi Aritmatika Untuk Standart Logic Variable)
  4. USE IEEE.STD_LOGIC_UNSIGNED.ALL; ( Memasukan Semua Fungsi Yang Belum Di Desain Untuk Operasi Arithmatic )
EXAMPLE ;
– Package declaration
library ieee;
use ieee.std_logic_1164.all;
package basic_func is
— AND2 declaration
component AND2
generic (DELAY: time :=5ns);
port (in1, in2: in std_logic; out1: out std_logic);
end component;
– OR2 declaration
component OR2
generic (DELAY: time :=5ns);
port (in1, in2: in std_logic; out1: out std_logic);
end component;
end package basic_func;

Sumber ; http://www.interfacebus.com/Design_VHDL_Pitfalls.html
http://www.faculty.petra.ac.id/herisw/rse.ppt
Read More ..

Contoh kasus VHDL

SOAL:

Buat ke VHDL :
 Jika,,
       rst = 1          maka           q = 0
       clk = 1         maka            q = d





JAWAB :
1. Definisikan Input/ Outputnya
                ENTITY FLIPFLOP IS
                PORT (d,clk,rst : IN BIT);
                                       q : OUT BITS);
                END FLIPFLOP
Read More ..
 

Term of Use

Beberapa artikel dan postingan yang ada disini murni hasil tulisan tangan dari saya, pembaca dapat menggunakan artikel ini dengan syarat mencantumkan sumber artikel.

Download Chrome

Copyright © 2016 - BlackAntzz is powered by Rhatomi.com - All rights reserved